Шапка

13.13 (II) Фазовая автоподстройка

13.13.6 Некоторые области использования PLL

Ранее уже приводился пример использования петли автоподстройки для умножения частоты. Задача это столь очевидная, что выискивать экзотические схемы PLL не требуется. В простых задачах умножения частот ( например, получения тактовой частоты для цифровой системы ) не возникает даже проблем с шумом опорной частоты. В таких задачах вполне достаточно петли автоподстройки первого порядка.

Очевидно, что требования к PLL зависят от назначения устройства. Между широким диапазоном перестройки, шагом перестройки частоты, низкой ценой компонентов, широкой рабочей полосой ( скоростью перестройки ) и качеством сигнала ( низким фазовым шумом, малым джиттером, незначительным уровнем побочных частотных компонентов ) существуют противоречия разной степени сложности. Для микропроцессора или динамической памяти не нужна высокая точность формы импульсов и настройки частоты; для сотовой связи требуется низкий фазовый шум и отсутствие посторонних частотных компонентов, а диапазон настройки и ширина полосы должны согласовываться с частотой соты и канала; для генератора синусоидального сигнала требуется низкий уровень фазовых шумов и посторонних частотных компонентов, малый шаг перестройки и широкий диапазон рабочих частот; для систем передачи данных нужен малый джиттер, те же требования к тактированию у АЦП ( где джиттер превращается в искажения ); а для материнской платы PC требуется одна микросхема, с помощью которой можно получить полный набор стандартных частот без каких-либо требований к качеству сигналов.

Ниже описываются два важных варианта схемы умножения частоты, известные как «n/m» и «синтез с дробным делителем» . Далее идут некоторые интересные приложения техники фазовой автоподстройки, позволяющие оценить возможные сферы использования. Тема завершается обзором современных ИМС PLL с отличными характеристиками и массой интересных инженерных идей в конструкции.

13.13.6.A Синтез с дробным делителем

Схема умножения частоты на рис. 13.97 создаёт выходной сигнал, кратный исходному в целое число раз \( f_{out} \)= \(f_{REF}\) . Он годится для схем, подобных 13.99 , но бесполезен для построения генераторов синусоидального сигнала общего назначения, где требуется получать некратные частоты с точностью установки до 1 Hz , а то и до 0.001 Hz .

Предделитель

Используется в нескольких схемах ( см. рис. 13.102A ). Это первая мысль, которая приходит в голову: поделить опорную частоту до уровня минимального шага её перестройки, скажем, 1 Hz с последующим умножением до нужного значения. Сделать это можно с помощью счётчика «по-модулю-r», где r - целое число, выбираемое из условия \( f_{comp}=f_{REF}\)/r . Если опорная частота равна 10 MHz ( это общеупотребительный стандарт ) и требуется точность установки 1 Hz , надо брать r=107 . Выходная частота \( f_{out} \) =\( f_{REF} \)/r .

Рис. 13.102 (A)   Схема умножения с дробными коэффициентами: целое «n» с предделителем. Интегрирующий фильтр между фазовым детектором и VCO для простоты опущен

Получилась вполне рабочая схема, но фазовый детектор работает теперь с двумя сигналами 1 Hz , что означает очень большую постоянную времени ( много секунд ). Такое положение неприемлемо, потому что каждая смена частоты потребует массу времени для синхронизации с новым значением. В такой схеме будет много фазового шума, потому что короткоживущие внутренние события в VCO корректироваться не будут ( на таких частотах в петле автоподстройки не останется усиления - всё съест фильтр ). И, если сказанного недостаточно, корректирующие импульсы с фазового детектора, идущие с малой частотой [* которые умножаются с большим коэффициентом ] , создают нежелательную модуляцию в боковых полосах рядом с выходным сигналом. Точнее, боковые полосы отстают на \( f_{comp}\space \) вверх и вниз по частоте от \( f_{out} \) .

Постделитель

Следующий подход: стараться удерживать высокую опорную частоту и делить выходной сигнал ( рис. 13.102B ). Теперь выходная частота равна \( f_{out}=f_{REF}\)×n/m . Выглядит неплохо: достаточно усиления в петле автоподстройки, потому что фазовый детектор работает на высокой частоте \( f_{REF} \) , но за счёт большого коэффициента m выходного делителя шаг настройки получается небольшой, как и хотелось изначально.

Рис. 13.102 (B)   Схема умножения с дробными коэффициентами: целое «n» с постделителем. Интегрирующий фильтр между фазовым детектором и VCO для простоты опущен

Схема работает отлично, но ровно до того момента, пока требуется низкая выходная частота. Теперь проблема в том, что VCO приходится создавать в m раз более высокую частоту, чем \( f_{out} \) . Для опорной частоты 10 MHz и m=107 ( для шага 1 Hz ) VCO должен выдавать 1 GHz , чтобы получить на выходе каких-то 100 Hz ( n =100 ). Не, не пойдёт.

Пред- и постделитель

Решение очевидно: надо использовать делители и на входе, и на выходе ( рис. 13.102C ). Такое решение позволяет проскочить между слишком мелким шагом перестройки и слишком большой частотой VCO. Теперь выходная частота равна \( f_{out} \) =(\( f_{REF} \)/r)(n/m). Это стандартная схема для PLL с «целыми делителями» , т.к. все три коэффициента выражаются целыми числами.

Рис. 13.102 (C)   Схема умножения с дробными коэффициентами: целое «n» с пред- и постделителем. Интегрирующий фильтр между фазовым детектором и VCO для простоты опущен

Для стандартной опорной частоты 10 MHz можно взять r=104 ( \( f_{comp} \) =1 kHz ) и m=103 . Шаг перестройки выходной частоты - 1 Hz , а её значение \( f_{out} \) =n Hz . Можно создавать частоты до 100 kHz ( с шагом 1 Hz ), при этом VCO крутится на 100 MHz .

«Дробный делитель» в качестве посеребрённой пули

На данный момент удалось утрясти проблемы с шагом перестройки, полосой обратной связи, максимальной выходной частотой и выходным сигналом VCO. Удалось получить высокую выходную частоту и мелкий шаг 1 Hz при опорной частоте 10 MHz ( т.е. произведение m×r остаётся постоянным ), а заплачено за это меньшей полосой обратной связи ( если m мало, а r - велико ) или меньшей выходной частотой ( если m велико, а r - мало ).

Возможны ли дальнейшие улучшения? Да, если получится провернуть один фокус и превратить какой-нибудь из делителей ( например, «по n» ) в делитель с не целым коэффициентом ( попадающим между двумя натуральным числами ). Результат достигается в ходе усреднения двух соседних целых значений, если часть времени пересчёт будет вестись с коэффициентом n , а оставшееся время - с коэффициентом n+1 123 . Это синтез с «дробным коэффициентом» ( рис. 13.102D ). Выходная частота по-прежнему \( f_{out} \) =(\( f_{REF} \)/r)(n/m) , но теперь n может принимать дробные значения. Синтез с «дробным коэффициентом» почти идеален: широкий диапазон выходной частоты, высокое разрешение ( малый шаг перестройки ), \( f_{comp}\space \) имеет высокое значение и обеспечивает большое петлевое усиление, а значит, быструю синхронизацию, высокую скорость перестройки и далеко отстоящие от синтезируемого сигнала пики вредных частотных компонентов.

Рис. 13.102 (D)   Схема «дробного делителя» позволяет получать в делителе обратной связи дробные коэффициенты. Интегрирующий фильтр между фазовым детектором и VCO для простоты опущен

Дробный делитель требует нескольких дополнительных счётчиков и логики, которые определяют, сколь часто происходит переход с делителя «n» на «n+1» и обратно. Существует общеизвестный рабочий пример такой схемы - календарь. Тот самый, который, возможно, висит у вас на стене и синхронизируется с периодом обращения Земли вокруг Солнца. Проблема в том, что в году не целое число дней. В григорианском календаре было использовано уже известное решение - «дробный коэффициент» пересчёта - високосные годы. Промежутки времени длиной 365 и 366 дней перемешиваются в пропорции 3:1 , позволяя получить более точное значение 365.25 124 125 .

Детали, детали...

Метод дробных делителей 126 - отличная штука, но и у него есть недостатки. Фазовый детектор будет периодически фиксировать состояние скачка фазы, когда происходит смена делителя. Получается, что смена делителя вызывает модуляцию фазы, требующую дополнительной фильтрации. Есть несколько приёмов борьбы с данным явлением, предусматривающих добавление компенсирующих импульсов заряда на выходе фазового детектора или специальную коррекцию выходного сигнала, распределяющую циклы различной длительности более равномерно ( см. далее ). Возможно, самым лучшим решением является использование сигма-дельта модуляции для коэффициентов пересчёта: вместо простой смены двух целых чисел, соседствующих с нужным дробным значением, желаемый коэффициент размазывается по более длинной последовательности. В таком случае боковые полосы, появляющиеся в модулированном сигнале, выдавливаются в область высоких частот, а появление отдельных частотных пиков минимизировано. Так же, как и в схеме сигма-дельта преобразователя, цепи обратных связей более высоких порядков вместе с некоторым усреднением ( в АЦП подмешивается псевдослучайный шум ) можно использовать для подавления близко расположенных частотных пиков ( аналогия из мира АЦП - подавление шумов паузы ). Задача сложная, и лучше оставить её специалистам 127 . Итого: пусть разработкой преобразователей занимаются другие. Единственное, что нужно знать - плюсы и минусы той или иной схемы. И внимательно читать справочные данные, учитывая важные для разработки особенности.

13.13.6.B Синтез с разумным усреднением

Джон Вилсон ( John Willison ) из Stanford Research Systems развил один из вариантов синтеза с целым делителем в схему, сочетающую лучшее из двух миров. Она работает с малыми значениями r , значит, с достаточно высокой опорной частотой на фазовом детекторе, широкой полосой петли управления VCO и в итоге имеет низкий шум, а из-за низкого джиттера - слабый сигнал в боковых полосах. Коэффициент n целый, т.е. нет фазовой модуляции VCO. Тем не менее, схема позволяет получить практически беспредельное разрешение по частоте ( на уровне микрогерц ), даже когда на входе фазового детектора присутствуют мегагерцы.

Фокус заключается в выборе небольшого r ( и соответственно n ) так, чтобы синтезируемая частота была близка к целевой ( в пределах ±100 ppm ). После этого выходной сигнал доводится до нужной величины через подстройку в нужных пределах опорной частоты синтезатора. Эта техника, которую Джон назвал «частотный синтез с разумным усреднением» ( RAFS ) , использована в линейке генераторов SG380 фирмы Stanford Research Systems. Генераторы выдают сигнал от постоянного тока до 6 GHz с разрешением на уровне микрогерц. Использование целочисленных коэффициентов PLL и широкой рабочей полосы петли автоподстройки даёт отличную спектральную чистоту выходного сигнала. Этот факт находит отражение в паспортных данных. Фазовый шум на уровне –116 dBc ( т.е. «dB относительно carrier» - амплитуды выходного сигнала ) для боковой полосы ±20 kHz относительно выходной частоты 1 GHz , а использование малошумящего опорного генератора ( OCXO ) удерживает фазовый шум на уровне –80 dBc уже в полосе всего 10 Hz вокруг выходной частоты 1 GHz .

Идея синтеза представлена на рис. 13.103 . Управляется схема микроконтроллером, который отвечает за выбор r и n , которые наиболее точно соответствуют заданной величине \( f_{out} \) 128 . Он же настраивает петлевой фильтр в соответствии со входной частотой фазового детектора ( здесь \( f_{Φ}\) ) и, наконец, настраивает опорный тактовый генератор в пределах ±100 ppm , чтобы обеспечить 64-битный прямой цифровой синтез. Это в настоящий момент практически беспредельное разрешение, которое можно обеспечить от чистого постоянного исходного сигнала. Из-за случайного перескока фазы, свойственного технике прямого цифрового синтеза, выходной сигнал не такой чистый, как опорный, поэтому приходится привязывать фазу к высококачественному кварцевому генератору, частота которого плавно меняется в пределах ±100 ppm напряжением на варакторе ( отсюда и название VCXO ). Из изложенного понятно, что именно диапазон перестройки VCXO определяет начальный выбор r и n .

Рис. 13.103   Метод «синтеза частот с разумным усреднением» использует небольшую подстройку частоты опорного сигнала с кварцевого резонатора, чтобы получить разрешение на уровне микрогерц при работе фазового детектора на мегагерцах. В итоге выходной сигнал таких синтезаторов имеет высокую спектральную чистоту, низкий фазовый шум и свободен от частотных иголок

В качестве примера предположим, что требуется получить сигнал с частотой 1234.56789 MHz . Уже можно доставать из кармана калькулятор ( интересно, читатели моложе 50 его ещё пользуют? ) и начинать подбор r . При значении r =26 «дробный коэффициент» n =320.9876514 попадает в окрестность 100 ppm от целевого значения ( n =321 ). Получили рабочую пару [r,n]=[26,321] . Частоту опорного тактового сигнала надо сдвинуть на –38.469 ppm до 99.9961531 MHz , чтобы получить искомое. С такими исходными значениями опорная частота \( f_{Φ}\) фазового детектора имеет удобную высокую величину ( ∼3.85 MHz ), оставляя достаточно усиления в цепи автоподстройки, что ведёт к снижению шума боковых полос и исключает частотные пики рядом с синтезируемой частотой, которые возникают при низких \( f_{Φ}\) в PLL.

Как это часто случается в хорошо спроектированных схемах в реально устройстве есть ещё много подробностей, не упомянутых в данном простом примере. Перечислим основные.

  1. Выходной синтезатор подстраивается только в пределах одной октавы ( частотный диапазон 2:1 ), а далее следует цепочка двоичных делителей и ФНЧ, формирующих и сглаживающих выходной сигнал.
  2. В реальных приборах используется линейка тщательно отстроенных VCXO с разнесёнными частотами. Несколько генераторов позволяют снизить требования к r и n . В итоге, \( f_{Φ}\) , по большей части, имеет величину более 10 MHz и только иногда снижается до нижней границы 2.4 MHz .
  3. В приборе есть несколько отдельных наборов DDS и PLL для некоторых популярных частот, причём, чтобы предотвратить интерференцию, они не кратны остальным сигналам схемы.
  4. Появление частотных пиков в боковых полосах купируется подмешиванием псевдослучайного шума .
  5. Устройство включает дополнительные блоки модуляции внешним сигналом, регулировки амплитуды и т.п. Жизнь всегда готова подкинуть разработчику задачку, другую. Тем больше гордости за найденные решения.

13.13.6.C Детектирование FM сигналов

В методе частотной модуляции информация кодируется в сигнале «несущей», который меняется по частоте пропорционально форме модулирующего напряжения. Есть два метода восстановления исходной информации. Оба используют фазовые детекторы или PLL, а процесс носит название «детектирование».

В самом простом случае PLL используется для синхронизации со входным сигналом. Управляющее напряжение VCO пропорционально изменению входной частоты, а значит, и модулирующему сигналу ( рис. 13.104 ). В такой системе рабочая полоса фильтра должна быть достаточно широкой, чтобы пропускать модулирующий сигнал, т.е. время реакции PLL должно быть небольшим по сравнению со скоростью его изменения 129 . Метод требует высокой линейности VCO, чтобы минимизировать искажения выходного сигнала.

Рис. 13.104   Частотный дискриминатор для FM на PLL

Второй метод детектирования предполагает сольное выступление фазового детектора без петли автоподстройки. Идея показана на рис. 13.105 . Сигнал и его копия со сдвинутой фазой подаются на фазовый детектор, с выхода которого снимается интересующий сигнал. На частоте несущей цепь сдвига фазы имеет линейную зависимость по частоте ( обычно такой эффект достигается применением резонансных LC контуров ). В итоге на выходе детектора получается напряжение, линейно пропорциональное изменению входной частоты, т.е. демодулированный сигнал. Метод носит название «двойной балансный квадратурный детектор» и используется в некоторых микросхемах усилителей/детекторов промежуточной частоты.

Рис. 13.105   Квадратурный FM детектор

Стоит добавить, что детектировать FM сигнал можно и без использования фазовой автоподстройки. Классический подход использует резкую амплитудно-частотную характеристику настроенного LC контура. В самом простом случае - в частотном дискриминаторе - FM-сигнал подаётся на резонансный LC контур с несимметричной характеристикой настройки: с одной растущей ветвью АЧХ. Такая цепь имеет почти линейную зависимость от частоты, превращая FM в FM+AM. Амплитудный детектор завершает работу, превращая AM в выходной сигнал. Реальные схемы устроены чуть сложнее и используют детектор Фостера-Сили ( Foster-Seeley ). Другая ( ещё более простая ) техника использует усреднение потока импульсов на промежуточной частоте.

13.13.6.D Детектирование AM сигналов

Требуется: техника, дающая выходное напряжение, пропорциональное мгновенному значению амплитуды высокочастотного сигнала. Обычные подходы предполагают выпрямление ( рис. 13.106 ). На рис. 13.107 показан вариант поинтереснее - синхронное детектирование . PLL выдаёт прямоугольный сигнал с частотой несущей. Умножение входного сигнала на этот прямоугольный даёт полнопериодный выпрямленный сигнал. Остаётся пропустить его через фильтр нижних частот, чтобы избавиться от остатков несущей и оставить только огибающую. Если в PLL используется фазовый детектор на «ИСКЛЮЧАЮЩЕМ-ИЛИ», то выходной сигнал задержан на 90° , относительно пилотного, и в пути к умножителю должна стоять схема, задерживающая фазу на те же 90° .

Рис. 13.106   Детектирование AM-сигнала

Рис. 13.107   Синхронное детектирование

13.13.6.E Демодуляция цифровых посылок

Фазовая автоподстройка - необходимый компонент схем, восстанавливающих ( «демодулирующих» ) данные из несущей в цифровых системах. В простых формах цифровой модуляции ( двухуровневая фазовая манипуляция - BPSK ) каждый бит передаётся или с прямой, или с инверсной фазой неизменного по амплитуде несущего сигнала ( рис. 13.108 ). Закодированные таким образом биты восстанавливаются на приёмном конце умножением BPSK-посылки на несущую. Можно подумать, что можно выделить копию несущей частоты с помощью PLL, но такой способ не работает, потому что в спектре BPSK сигнала никаких компонент с частотой несущей нет.

Рис. 13.108   Квадратурная демодуляция цифрового BPSK сигнала

Есть красивое решение 130 : квадрат передаваемого сигнала не зависит от реверса фазы и просто равен сигналу с удвоенной частотой несущей. Если продолжить эту идею, получится метод «квадратурной петли» ( рис. 13.108 ). Первый миксер M1 ( миксер - это просто умножитель ) создаёт удвоенную частоту несущей 2\( f_c\) , которая чистится фильтром нижних частот и используется для синхронизации PLL. VCO с узкой рабочей полосой работает инерционным звеном. Делитель на 2 создаёт копию \( f_c\) с фазой, выровненной по подавленной несущей. Наконец, умножитель M3 восстанавливает модулированные биты, а выходной ФНЧ удаляет пульсацию с частотой 2\( f_c\) .

Если рассматривать скачки фазы в качестве символов, BPSK кодирует один бит на символ. Общеупотребительные схемы цифровой модуляции кодируют по несколько бит на символ. Например, два бита получается, если передавать сигнал с фазами 0°, 90°, 180° или 270° в соответствии с четырьмя возможными состояниями 2-битного символа. Такая схема называется «квадратурным фазовым кодированием» ( QPSK ), известное также как 4-QAM ( произносится «куэм» ). В общем случае можно создавать «созвездие» символов в каждом очередном участке посылки, идущем с некоторой амплитудой и фазой. Например, кабельный телевизионный сигнал кодируется форматом «256-QAM» [* см. §7.1.9.G ] . Каждый символ несёт 8 бит информации. Для всех этих схем модуляции по-прежнему требуется восстанавливать сигнал из несущей ( или её копии на «промежуточной частоте» ), для чего требуется PLL. Иногда используется слабый «пилотный» сигнал с частотой несущей, который делает ненужной схему квадратурной петли. Такой вариант используется в цифровом телевещании в США. 3-битный символ кодируется с использованием амплитудной модуляции ( четыре уровня сигнала плюс две фазы: и 180° ) и имеет небольшое постоянное смещение, которое создаёт пилот-сигнал, по которому синхронизируется PLL.

13.13.6.F Прочие задачи радиосвязи

Как уже говорилось, PLL является базовым функциональным узлом многих схем связи. Многоканальные передатчики ( сотовые телефоны ) должны удерживать свои сигналы в заданных частотных диапазонах и поддерживать их высокое качество, чтобы не было межканальной интерференции. Многоканальные приёмники ( снова сотовые телефоны, FM-, теле- и спутниковые приёмники ) используют местный генератор для определения частоты приёма. Это супергетеродинная техника Армстронга почти вековой давности. Мусор в сигнале местного генератора LO ( джиттер, неравномерность спектра ) ухудшает принимаемый сигнал в той же степени, в которой ухудшил бы сигнал в передатчике. Для такого рода применений качество сигнала имеет важнейшее значение и требует более качественного VCO, нежели можно получить от емкостного мультивибратора из ’4046.

Для таких задач надо брать PLL без встроенного генератора, рассчитанную на работу с внешним VCO. Примером могут служить серия NSC LMX2300 или совместимые ADF4116-ADF4118 фирмы ADI. Эти семейства включают компоненты с фазовым детектором, способным работать на 6 GHz и выше. Они позволяют использовать любой коммерческий VCO или собрать что-нибудь своё ( например, LC генератор на полевом транзисторе с подстройкой варактором, см. ##§1.9.5.B bad_link ). За образец можно взять схему генератора, стабилизированного петлёй автоподстройки с рис. 7.29 , чей спектр шума приводится на рис. 7.30 .

Встроенный в микросхему PLL качественный генератор делает жизнь заметно удобнее и не требует городить что-то своё. Некоторые экземпляры ( ADF4360-8 ) требуют внешней индуктивности ( моточные изделия - самый сложный для интеграции на кристалл компонент, особенно если требуется точный номинал и высокая добротность ). В других микросхемах ( LMX2531, ADF4360-3 ) все нужные детали располагаются внутри. ADF4360-3 предназначен для использования в сотовых телефонах и имеет достаточно узкий диапазон подстройки частоты - 5% . Иногда встроенные генераторы делаются по микромашинной технологии ( MEMS ), см. SiTime серии SiT3700, SiT8100 и SiT9100. Выпускаются резонаторы на поверхностных акустических волнах ( SAW ): серия M680- фирмы IDT. такие технологии и конкурирующие с ними кварцевые генераторы имеют узкий диапазон подстройки VCO ( ∼100 ppm ), но у них низкий фазовый шум и джиттер ( см., например, VCXO в составе IDT 810252 ).

13.13.6.G Синхронизация импульсов и восстановление чистого сигнала

При передаче по последовательным каналам связи информация кодируется последовательностью битов, причём такая последовательность может быть как изначально цифровой, так и оцифрованным аналоговым сигналом в формате PCM. Похожая ситуация возникает при декодировании информации с магнитной ленты, магнитного или оптического диска. В таких случаях возможно появление дополнительного шума и изменение скорости потока импульсов ( от растягивания ленты ), поэтому желательно иметь чистый тактовый сигнал с частотой поступающих импульсов. PLL работает здесь очень хорошо. ФНЧ в петле автоподстройки должен рассчитываться так, чтобы следовать естественным колебаниям скорости потока данных ( т.е. механических изменений скорости плёнки или диска ), но убирать межтактовый джиттер и шум от неидеального тактового сигнала во входном потоке. Эта активно используемая схема носит название «схема восстановления данных и синхроимпульсов» . Её примером в мире звука может служить приёмник цифрового звукового интерфейса DIR9001 фирмы Burr-Brown/TI. Он содержит блок VCO/PLL с низким джиттером и узел демодуляции данных. Микросхема допускает настройку под широкий диапазон скоростей ( 28...103 ksps ) и различные форматы данных, как-то: S/PDIF, AES3, IEC60958 и CPR-1205.

13.13.6.H Тактовые генераторы

Как отмечалось ранее, имеется масса областей использования для определённого набора стандартных частот полученных из одного референсного сигнала. В таких местах положительные стороны низкого фазового шума, отсутствия частотных иголок и т.п. важны гораздо меньше, чем малое число компонентов и возможность выбора из нескольких возможных наборов выходных частот ( см. табл. 13.13 ). Одной из таких микросхем является 8430S010i фирмы IDT. Это однокристальная PLL с несколькими выходами синтезируемой частоты, предназначенная для работы во встраиваемых вычислительных системах. К ней подключается один резонатор на 25 MHz , из которого получаются:

  1. две частоты для центрального процессора,
  2. четыре частоты для шины PCI или PCIe,
  3. четыре частоты для тактирования DDR DRAM,
  4. сигнал для MAC и PHY уровней гигабитного интерфейса Ethernet и
  5. набор из трёх частот для интерфейса SPI4.2.

Table 13.13 Selected Phase-locked Loopsa Notes: (a) sorted approximately by increasing VCO fmax- (b) eV - external VCO; eVX - external VCO or VCXO; int/eV - int or eV; iL - internal VCO with external inductor; int - internal VCO, no external components; iR - internal VCO with external resistor; iRC - internal VCO with external R and C; iX - internal VCO with external bare xtal. (d) 25, 125, 156.25, and 312.5 MHz only. ( f) FM and FSK demod, audio bandwidth. ( g ) at 10 kHz and 10 V. (m) min or max. (o) phase detector muxed to output. ( p) PLCC. (q) no power-down mode, but quiescent current <1??. Comments: A: FM and FSK demod applications. B: classic 4000B (“HV”) CMOS. C: classic HCMOS. D: improved 4046, no dead zone. E: LVCMOS. F: 74HCT also available. G: digital 1st-order PLL. H: can run at 3V, 14-21 MHz. I: internal ring oscillator. J: fref=8-30 MHz with bare xtal; ref can be VCXO; can generate spread spectrum. K: can run at 3V, 38-55 MHz. L: can run at 3.3 V, 0.25-100 MHz; ICS663 ( SOIC-8 ) lacks power-down and output enable. M: wireless local-osc with PLL synth; versions with other freq ranges. N: wireless local-osc with integer-n PLL synth; 1.2 GHz, 3 GHz, and 4GHz versions. O: 2-stage PLL ( VCXO PLL drives PLL multiplier, with input and output dividers, for Gigabit and 10-Gig Ethernet. P: can run at 3.3 V; complementary PECL outputs; ext osc to 2 GHz. Q: wireless local-osc with PLL synth; 0.55 GHz and 2.8 GHz versions. R: eight individual dividers, output clock distribution, multiple refs, highly complex. S: SPI interface. T: eight LVDS outputs, 0.2 ps jitter, adjustable delay. U: wireless local-osc with PLL synth; diff'l PECL; parallel and SPI interfaces; replaces MC12430. V: Ethernet clock generator, etc.; four outputs; <1 ps jitter. W: wireless local-osc with PLL synth; stable low-noise; p/n selects ±5\text% freq band. X: delta-sigma fractional-n; 0.5ps jitter; includes xtal osc. Y: wireless local-osc with PLL synth; 0.5ps jitter. Z: ADF4107=7GHz, ADF4108=8 GHz.

Такие микросхемы управляются простым программным протоколом по SPI шине или подобно IDT 8430S010i через специальные выводы корпуса. Встречаются оба способа одновременно, например, замечательная ИМС NBC/MC12430 ( или аналог MPC9230 ). Это простая целочисленная схема PLL с 9-разрядным коэффициентом n и 3-разрядным m , с выходным сигналом в диапазоне 50...800 MHz . Внутренний VCO подстраивается в промежутке 400...800 MHz и, похоже, использует схему на цепочке инверторов с управлением по питанию , справочные данные ничего об этом не говорят. Авторы использовали эту микросхему для тактирования достаточно сложной терагерцовой схемы съёма данных, созданной для обнаружения искусственных импульсов лазерного излучения внеземных цивилизаций ( честное слово! ).

13.13.6.I Управление длиной волны лазерного излучения

В некоторых научных исследованиях требуется управлять параметрами полупроводниковых лазеров, чтобы, например, частота фотонов пучка была сдвинута на определённую величину относительно «образцового» излучателя. Данный пример является основной техникой в задачах «охлаждения» с помощью лазерного излучения, где группа атомов облучается сходящимися лазерными лучами с длиной волны чуть меньшей, чем у естественного резонанса атома. Эффект Доплера заставляет атом смещаться в направлении источника излучения, чтобы чуть сдвинуть свет вверх по частоте. Это вызывает более сильный захват фотона и торможение атома за счёт передаваемой ему кинетической энергии 131 .

Для подобной фиксации смещения хорошо подходит фазовая автоподстройка. Схема 13.109 из дружественной лаборатории показывает, как это делается 132 . Свет от двух подстраиваемых лазерных диодов собирается вместе и подаётся на широкополосный фотодиодный детектор-усилитель. Дальнейшие превращения внутри детектора рассмотрим по шагам.

  1. Согласно совершенно линейной природе процесса два совмещённых лазерных пучка порождают синусоидальный сигнал с частотой, равной среднему значению двух частот, который модулирован [* умножен на ] синусоидальным сигналом с частотой, равной половине разности частот лазерных пучков, см. рис. 13.110 .
  2. Детектор не может отслеживать оптическую частоту [* частоту волны фотонов, составляющих пучок ] , которая близка к 1014Hz . Он может видеть только интенсивность света, которая пропорциональна квадрату амплитуды огибающей волнового «конверта» ( рис. 13.110 ). А квадрат амплитуды - это тоже синусоида, но с удвоенной относительно «конверта» частотой и постоянным смещением, сдвинутая поэтому относительно горизонтальной оси.

Рис. 13.109   Схема управления полупроводниковым лазером, позволяющая изменить длину волны излучения относительно образцового. Стоимость компонентов, без учёта самого лазера, ниже $40. Цены на лазеры лежат в ценовой категории «за 40 dB$»

Рис. 13.110   Линейная комбинация двух синусоид порождает сигнал на усреднённой частоте, модулированный синусоидальным же волновым «конвертом». Фотодиод не может работать на самой частоте фотонов, которая в данном случае имеет величину порядка \(10\space^{14}\) Hz , но может регистрировать изменение интенсивности светового потока ( пропорциональное квадрату амплитуды огибающей волнового конверта ). На выходе получается сигнал на частоте биений пропорциональный разности оптических частот двух лазерных пучков

Другими словами, на выходе детекторного модуля появляется сигнал на частоте, соответствующей разности длин волн фотонов двух лазерных лучей ( она называется также частотой биения ): \( f_{PDout}=|f_2-f_1|=Δ f\) . Задачей остальной части схемы является поддержание с помощью обратной связи на лазере «A» нужной величины разности частот \(Δ f\) . Делается это с помощью PLL с дробным коэффициентом. На входе PLL стоит усилитель-ограничитель, который формирует чистый сигнал с амплитудой 0.6 Vpp из сигнала детектора, меняющегося в диапазоне от 10 mV до 1V .

Для задач «охлаждения» лазерным лучом и оптических ловушек нужна разность частот \(Δ f\) порядка 10 MHz относительно гораздо более высокой частоты оптического резонанса. Для атомов рубидия резонанс располагается на частоте 3.85 × 1014 Hz , что соответствует длине волны 180.24 nm 133 . Как обычно случается, данная задача имеет много сопутствующих деталей. Оказывается, разделение базовых состояний \(\sideset{^{85}}{}Rb\) имеет столь «исключительную точность», что требуется пнуть атом ( официальное название процесса - «оптическая накачка» ) лазерным излучением с заданным энергетическим смещением, чтобы он гарантированно скатился в состояние с нужной энергией. Требуемому энергетическому уровню соответствует разность частот фотонов 3 GHz 134 . Именно из-за этого устройство проектировалось под сдвиг частоты в гигагерцовом диапазоне, на что указывают отметки на схеме.

13.13.7 Итоговые замечания: борьба с шумом и джиттером в PLL

В предыдущих примерах уже рассматривались два варианта. В качестве опорного выступал либо высококачественный сигнал, получаемый от стабильного кварцевого генератора, либо его противоположность - пилотный сигнал от восстановленного тактового сигнала из зашумлённого канала. Во втором случае выход PLL был чище источника, потому что инерционное звено VCO работало фильтром, облагораживающим пилотный сигнал.

Полезно понимать, как PLL фильтрует шум и джиттер ( которые могут поступать в схему из самых разных мест: с пилотным сигналом, от фазового детектора или VCO ), чтобы правильно учитывать данные особенности при выборе рабочей полосы и т.п. Здесь можно было бы написать кучу уравнений, но интуитивное представление можно получить, уже глядя на блок-схему ( рис. 13.85 ).

  1. Джиттер на опорном входе фильтруется по низким частотам, потому что все изменения, попадающие в рабочую полосу PLL, отслеживаются VCO, и быстрые изменения сглаживаются его инерционным звеном.
  2. Джиттер самого VCO фильтруется по высоким частотам, потому что все изменения, попадающие в рабочую полосу автоподстройки, обнаруживаются ею и удаляются.
  3. Джиттер, вносимый фазовым детектором сглаживается полосовым пропускающим фильтром, потому что медленные изменения, попадающие в рабочую полосу, обнаруживаются и удаляются ( см. «a» ), а быстрые изменения давятся петлевым ФНЧ и интегрирующими свойствами VCO ( \( f\to φ\) ) ( см. «b» ).

Таким образом, PLL с чистым опорным сигналом выигрывает от широкой рабочей полосы, а PLL с зашумлённым пилотным сигналом - от узкой рабочей полосы ( и качественного сигнала VCO ). И с самим «шумом» всё не просто. Прошедший через делитель сигнал VCO на входе фазового детектора в PLL с дробным коэффициентом содержит джиттер, который появляется из-за переключения модуля пересчёта. Такой джиттер сглаживает узкая рабочая полоса автоподстройки.

Конечно, если от выхода PLL требуется быстрота перестройки как в декодировании тональных посылок или демодуляции FM-сигналов, ширина рабочей полосы должна выбираться соответственно, невзирая на возможные проблемы с джиттером и шумом. [* Джиттер разбирается в §7.1.10 ] .

123 Существует изредка используемый термин «pulse-swallowing» - пропуск импульса, который используется и здесь. <-

124 Астрономы и другие въедливые читатели поправят, что период обращения Земли на самом деле на единецу больше - 366.25 [* чой-то они здесь загнули. На самом деле есть «сидерический год» ( 365,25636556 суток ) и «тропический год» ( 365,242199 суток ). Календарь основан на втором ] , и что период вращения не 24 часа, а 23 ч 56 мин 04 сек ( примерно ). Они, возможно, правы, но умников никто не любит [* удобный приём, надо взять на заметку ] .   <-

125 Эта схема лучше, но также неточна. В солнечном году 365.242374 дня, поэтому есть коррекция более высокого порядка: во всех високосных годах, которые делятся на 100 , но не делятся на 400 , убирается один день. Таким образом, 2000 год является високосным [* т.е. хотя и делится на 100 , но делится и на 400 ] , а 2100, 2200 и 2300 - нет. Такой вариант позволяет поддерживать нужную точность приблизительно 8000 лет. <-

126 Некоторые PLL используют дробные делители для масштабирования опорной частоты ( r ), но всё равно относятся к микросхемам с «дробным коэффициентом». <-

127 Если охота нырнуть в эти бурные воды, ознакомьтесь с технической заметкой ##App Note 1879 фирмы National Semiconductor. <-

128 SRS использует итеративный метод нахождения коэффициентов r и n с помощью управляющего микроконтроллера. Делается начальный выбор, проводится проверка и, если требуется, цикл повторяется, пока коэффициенты не уложатся в требуемый допуск. Весь процесс занимает несколько миллисекунд. <-

129 Сигнал, попадающий на PLL, не обязан быть исходной эфирной посылкой. Речь может идти о «промежуточной частоте» , которая возникает в системе в ходе смешивания и переноса частоты. Метод носит название «супергетеродинная техника» и был предложен Эдвином Армстронгом ( Edwin H. Armstrong ), который предложил также и сам метод FM передачи. Сильные мира обратили на него внимание, отняли изобретение, засудили и довели до самоубийства. <-

130 Есть более хитрый метод BPSK демодуляции, тоже использующий PLL и известный под именем «схема Костаса» . Его параметры сравнимы, но принцип работы понять сложнее, а авторам больше нравится простота. <-

131 Приём имеет говорящее название «оптическая патока» . Если добавить магнитное поле и ещё несколько ингиндиентов, можно приготовить магнитно-оптическую ловушку. <-

132 Которой руководит весьма компетентный доктор Эндрю Спек ( Dr. Andrew Speck ). Авторы признательны ему за это и другие пояснения. <-

133 Все эти события происходят при длине волны используемой в пишущих лазерных дисководах, поэтому можно сэкономить и собрать схему 13.109 на паре диодов от пишущего привода, которые дают излучение мощностью 100 mW (Осторожно! Это реально очень опасно для глаз! ) . [* Подтверждаю, не шутите с ними. Лазеры от пишущих DVD вообще пора к боевым приравнивать ] . Управлять системой можно с помощью дифракционной решётки с пьезо приводом, подстраивая токи через диоды так, чтобы длины их волны соответствовали резонансу паров рубидия в резонаторе. Слабые духом люди не заморачиваются такими глупостями и просто заливают проблему деньгами: управляемые полупроводниковые лазеры можно купить у компаний New Focus, ThorLabs или Toptica. <-

134 Точное значение для ценителей - 3.035732439 GHz . <-

Previous part:

Next part: